广受欢迎的专业电子...

电脑版
提示:原网页已由神马搜索转码, 内容由bbs.elecfans.com提供.
利用仿真软件Multisim14.0

任务:设计并实现一个低频信号调理电路,具有放大和透波等功能,电路采用级联方式。设计要求:(1)单端输入,单端输出;输入阻抗为50Ω; (2)3 dB通频带为300 Hz-4.5 kHz; (3)截止 ...

【正点原子i.MX93开发板试用连载体验】+烧录与调试

首先介绍一下IMX9352的启动方式,按照datasheet的说法,其支持以下几种启动方式             & ...

莫忽视,生活中常见电子设备都靠它连接

LCD连接器是用于连接液晶显示器的连接器。液晶显示器与驱动板之间采用TTL接口,这种接口采用并行方式传输数据,不需要在液晶显示器的驱动板端和液晶面板端使用专用的接口 ...

Hi3861V100串口1和串口2如何才能共用?

串口初始化异常单独初始化串口1,2都是可以使用的。但如果同时初始化两个串口,就会导致另一个串口初始化失败,请问如何解决! ...

HarmonyOS Next原生应用开发-从TS到ArkTS的适配规则(八)

一、接口中不支持构造签名规则:arkts-no-ctor-signatures-iface级别:错误ArkTS不支持在接口中使用构造签名。改用函数或者方法。TypeScriptinterface I { &nbs ...

adxl345动态测值问题

目前在静态情况下测得重力加速度是没有问题的,但校准之后放在测振仪上分别以1m/s2和10m/s2进行测试,测出来的结果分别为0.4m/s2和4m/s2左右。这是什么原因? ...

低压升至高压直流电源模块纹波调制 15V输入输出12000V10W

新研制的15V升压3000V 5000V 8000V 10000V 12000V输出的模块有使用过XP power F121系列的小伙伴可以提供一些实测参数:输入电压对应的输出电压相对应负载的电流值纹 ...

Vxworks7 LS1046A芯片上GPIO复用调试失败

现在项目有个需求,需要修改PIN脚的复用功能根据文档描述,我只需要修改rcw的配置文件,把UART_BASE=3同时把UART_EXT设置成0。我按照这样的修改,在Linux系统上可以变成G ...

嵌入式开发 Win11安装ST-Link 提示 设备描述符请求失败 怎么处理?

请问各位前辈,嵌入式开发 Win11安装ST-Link 提示 设备描述符请求失败 怎么处理?我安装的ST-link版本是STSW_LINK009_V1.02和STSW_LINK009_V2.0.0。目前安装后显示如下: ...

基于LabVIEW的声发射定位:如何准确获取信号到达不同传感器的时差?

想着用门限值截取一段信号来做互相关计算,但是只有触发与门限的控件有输入数据,另外三个都没有,用小球激励也不输出结果,求大佬解答 ...

电路设计,FPGA用VC2V3000-5FG676I,上电电压正常,但是程序加载不进去

电路设计,FPGA用VC2V3000-5FG676I。FLASH用XCF32PVO48C。现象:上电电压正常,但是程序加载不进去。测量flash的OE管脚一直处于低电平(有外接4.7k欧电阻)。查看芯片手册 ...

特斯拉线圈的疑惑

我和大家都一样,是一位电子线圈爱好者,现在我的心中医只有一个疑问,就是听别人说特斯拉震荡线圈可以做到把两节电池的输入功率提升到像空调那么大,真的可以这样吗?求解 ...

【正点原子i.MX93开发板试用连载体验】+开箱与硬件介绍

0.前言2023年,NXP在iMX 6、 iMX 7、 iMX 8之后,发布了iMX 9系列应用处理器,iMX 9系列应用处理器全系列集成ARM的A55+M33核心。A55核心可以视为是A53核心的继承者, iMX 9 ...

【Raspberry Pi 5开发板试用】+ 配件选购

【开箱】感谢电子发烧友论坛给予我这次试用的机会,昨天开发板已经收到。下面分享一下开箱:从盒子上看这次的板子是8G内存的板子。在盒子的背面,详细的介绍了板子的内 ...

求助:遇见诡异问题,FPGA模块A输出端口连接模块B输入后,模块A不能正常工作的

最近要做一个通过串口提取GPS模块的$GPRMC(40字节)数据中的时间信息(6字节),frame_filter模块功能是接收gps数据,然后提取6字节时间数据并按字节依次发送给uart_tx模 ...

嵌入式设备在远端运行,会有偶发性代码跑飞的情况,无法到现场调试的情况下如何检查出问题?

(没什么积分希望大家一起讨论一下)现状:目前设备在远端运行,会有偶发性代码跑飞的情况 ,无法到现场调试的情况下如何检查出问题?解决:在设备端增加日志报告上传( ...

写一个程序控制像图上这样控制电磁阀 但是没什么头绪 请各位大神帮帮忙

写一个程序控制像图上这样控制电磁阀 但是没什么头绪 请各位大神帮帮忙

开源项目!自制一个太阳能电子墨水屏气象站

​在过去的几个月里,我一直在研究怎么做一个节能且小尺寸的气象站。我希望它可以放在窗框上,在白天可以通过太阳光充电。以下是关于这个项目所需组件的详细信息: ...

关于TPS54622输出电容等计算

最近在学一个电源的电路,但是在计算comp端的电阻和电容值的时候发现计算不出图中的数值。想请问用过的前辈们能否为我解一下惑,主要是想知道R16和C29怎么计算出这个值的, ...

浅谈如何克服FPGA I/O引脚分配挑战

前言对于需要在PCB板上使用大规模FPGA器件的设计人员来说,I/O 引脚分配是必须面对的众多挑战之一。由于众多原因,许多设计人员发表为大型FPGA 器件和高级BGA封装确定I/O ...

FPGA电路设计的一些技巧

FPGA设计有别于DSP和ARM系统,相比之下较为灵活和自由。主要是设计构思好专用管脚的电路,通用I/O的连接可以自身定义。因而,FPGA电路设计中会有一些独特的方法能够参照。 ...

【PHYTEC AM62x开发板试用】阶段小结

历时一个半月的时间,目前已经按照https://docs.phytec.com/projects/yocto-phycore-am62x/en/bsp-yocto-ampliphy-am62x-pd23.2.1/quickstart/index.html手册完成了所有操 ...

Verilog testbench问题求助

这是我在HDLbits网站上做到的一道题,是testbench,请问这个代码为什么input都是低电平0?我设置的时钟就是周期10ns,占空比50%的时钟信号啊?怎么会出现这种情况...... ...

【HZHY-AI300G智能盒试用连载体验】系统首次开机登录及部分bug修复

终于怀着激动的心情拿到了这块专门为工业应用设计的RK3588智能盒。除了主机外,还附带了两根天线和一个电源。我拿到的是4G+32G的版本。主机已经自带了ubuntu20.04的系统 ...

如果在不清楚适配器的是否支持QC3.0的情况下,尝试切换至QC3.0协议,该如何判断是否成功切换至QC3.0协议?

如果在不清楚适配器的是否支持QC3.0的情况下,尝试切换至QC3.0协议,该如何判断是否成功切换至QC3.0协议?因为QC2.0协议的class B支持的20V的切换方式和QC3.0的升压的方式 ...

关于功放有嗡嗡底噪的问题

如图,将前级去掉的功放后级电路,还总有嗡嗡底噪。有什么办法解决?谢谢!电源:环形变压器,双18!,经整流桥后,+25.8v -25.2v,将 c1815和A1015去掉后,非常安静,没 ...

【算能RADXA微服务器试用体验】+ GPT语音与视觉交互:6,功能整合,完成项目

之前5期的介绍中我们已经成功逐一实现了功能。本篇为最后一期,我们将整合所有功能,实现完整项目。由于所有的模型一起运行,对内存要求比较大。因此我们先要调整一下系统 ...

拓扑篇丨LLC谐振变换器拓扑构成与工作原理分析

LLC谐振变换器作为谐振开关技术的重要拓扑之一,具有高效率、调压特性好、宽负载变化范围内工作特性优良等特点,广泛应用于电源供应、电动汽车充电、LED照明、太阳能电池板 ...

基于DSP C6000教学实验箱_数字图像处理教程:5-9 图像反色实验案例分享

一、实验目的学习图像反色的原理,掌握图像的读取方法,并实现图像反色。二、实验原理图像反色反色的实际含义是将R、G、B值反转。若颜色的量化级别是256,则新图的R、G ...

社区推荐
发烧友活动

站长推荐 上一条/6下一条

华秋(原“华强聚丰”):
电子发烧友
华秋开发
华秋电路(原"华强PCB")
华秋商城(原"华强芯城")
华秋智造
My ElecFans
APP
网站地图
设计技术
行业应用
特色内容
专栏推荐
学院
设计资源
设计技术
电子百科
电子视频
元器件知识
工具箱
VIP会员
社区
小组
论坛
问答
评测试用
企业服务
产品
资料
文章
方案
企业
供应链服务
硬件开发
华秋电路
华秋商城
华秋智造
nextPCB
BOM配单
媒体服务
网站广告
在线研讨会
活动策划
新闻发布
新品发布
小测验
设计大赛
华秋
社交网络
微博
移动端
发烧友APP
硬声APP
WAP