电子发烧友网

电脑版
提示:原网页已由神马搜索转码, 内容由www.elecfans.com提供.
会员中心
创作中心
发布

加法进位链的手动约束

XL FPGA技术交流来源:XL FPGA技术交流作者:XL FPGA技术交流2024-05-20 11:38630次阅读

在激光雷达中,使用FPGA实现TDC时需要手动约束进位链的位置。这里简单记录下。

在outflow下会生成一个.qplace文件。用于指示布线的各个原语资源的分布位置 。

023701b2-10c1-11ef-b759-92fbcf53809c.png

它的内容主是

是原语的单元名

表示水平位置,对应floorplan.

表示垂直位置 ,对应floorplan.

表示子块的位置 。目前只DSP24和DSP12可以有子块。在一个block可以指定多个字块。

是给block的一个编号,从0开始往下排。

024b3056-10c1-11ef-b759-92fbcf53809c.png

锁定过程如下:

stp1:并把outflow下面的.qplace文件得到到工程目录下(可以修改名字也可以不修改,假如我们修改为carrychain.qplace)。把该qplace文件中想要锁定的block保留,其余可以删除。

stp2:在工程目录下建一个efx_pnr_settings.ini文件 。

stp3:在efx_pnr_settings.ini文件中输入

fix_pins=carrychain_prj.qplace

stp4:重新编译。

以加法进位链为例 ,上面我们生成了一个4级的加法进位链。具体约束在哪个位置可以在floorplan中确认位置 。

比如这里我们把它的位置约束到第8列。看下面的语句,第二行是进位链的输入位置用于进入进位链,第3,4,5,6依次约束进位链的carry_tap[0][x]~FF即可。

之前也有一个疑问是是否应该约束加法器,但是资源列表中并没有相应的资源,而且经过分析也认为只需要约束寄存器即可。

#block name x y subblk block number#---------- -- -- ------ ------------carry_tap[0][0]~FF  8 3 0 #0carry_tap[0][1]~FF  8 4 0 #1carry_tap[0][2]~FF  8 5 0 #2carry_tap[0][3]~FF  8 6 0 #3tdc[0].carry_chain_inst/CHAIN_GEN[0].genblk1.EFX_ADD_inst 8 2 0 #2605

约束的效果如下:

02558826-10c1-11ef-b759-92fbcf53809c.png


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
  • TDC
  • 进位链
0人收藏

    评论

    发布评论请先 登录

    相关推荐

    4位带进位加法+减法计算器

    前段时间动手做了个小项目,跟大家分享一下!可实现4位带进位加法/减法计算。目前负值无法显示,只显示负数绝对值。对实例1中的显示部分有优化。目前已实现计算器功能,但程序有待优化。遥控板测试说明(红外):—:表示减法+: 表示加法E
    01-20 17:15

    加法

    请问下大家,,进位选择加法器和进位跳跃加法器的区别是啥啊?我用Verilog实现16位他们的加法器有什么样的不同啊?还请知道的大神告诉我一下
    10-20 20:23

    怎么在Zynq设备上实现简单的加法器?

    嗨,我正在尝试在Zynq设备上实现一些简单的加法器,但是当我玩不同的代码时,我注意到并不总是推断进位,例如:分配{cout,c} = a + b;其中a,b,c是相同宽度的信号。但是,如果声明c要
    03-13 09:42

    串行进位加法

    串行进位加法器   若有多位数相加,则可采用并行相加串行进位的方式来完成。例如,有两个4位二进制数A3A2A1A0和B3B2B
    04-07 10:35 1.7w次阅读

    超前进位集成4(四)位加法器74LS283

    超前进位集成4位加法器74LS283   由于串行进位加法器的速度受到进位信号的限制,人们又设计了一种多位数超前
    04-07 10:36 2.8w次阅读

    加法器原理(16位先行进位)

    加法器原理(16位先行进位)   这个加法器写的是一波三折啊,昨天晚上花了两三个小时好不容易写完编译通过了,之后modelsim莫
    03-08 16:52 1.1w次阅读

    基于选择进位32位加法器的硬件电路实现

    为了缩短加法电路运行时间,提高FPGA运行效率,利用选择进位算法和差额分组算法用硬件电路实现32位加法器,差额分组中的加法单元是利用一种改进的超前
    09-18 14:32 33次下载

    一个进位保留加法阵列的HDL代码生成器

    一个进位保留加法阵列的HDL代码生成器,感兴趣的小伙伴们可以看看。
    08-08 18:20 10次下载

    加法器是什么?加法器的原理,类型,设计详解

    加法器是为了实现加法的。即是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位
    06-06 08:45 2.3w次阅读

    怎么设计一个32位超前进位加法器?

    最近在做基于MIPS指令集的单周期CPU设计,其中的ALU模块需要用到加法器,但我们知道普通的加法器是串行执行的,也就是高位的运算要依赖低位的进位,所以当输入数据的位数较多时,会造成很大的延迟
    07-09 10:42 2w次阅读

    ADC带进位加法指令

    ADC带进位加法指令 1.指令的编码格式 ADC加操作指令,将寄存器《shifter_operand》的值加上《Rn》表示的数值,再加上CPSR中的C条件标志位的值,将结果保存到目标寄存器《Rd
    10-18 13:16 2次下载

    加法器功能

    加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。
    06-19 14:19 7949次阅读

    加法器原理

    加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、
    06-19 14:20 2.5w次阅读

    DM74LS83A四位快速进位二进制加法器的数据手册免费下载

    这些全加器执行两个4位二进制数的加法。为每一位提供和(∑)输出,并从第四位获得所得进位(C4)。这些加法器的特点是在所有四个位上都具有完全的内部前瞻性。这为系统设计者提供了部分经济性前瞻性能,并减少
    05-26 08:00 1次下载

    超前进位加法器是如何实现记忆的呢

    行波进位加法器和超前进位加法器都是加法器,都是在逻辑电路中用作两个数相加的电路。我们再来回顾一下行波进位
    08-05 16:45 1058次阅读
    72文章7.9w阅读5粉丝0点赞

    推荐专栏

    更多