广受欢迎的专业电子...

电脑版
提示:原网页已由神马搜索转码, 内容由bbs.elecfans.com提供.
嵌入式学习-飞凌ElfBoard ELF 1板卡 - 如何在Ubuntu中编译OpenCV库

在之前发布的文章中探讨了交叉编译OpenCV并部署至嵌入式系统的方法。然而,在调试阶段,我们发现在 Ubuntu 环境下将 OpenCV 编译为 X86 架构可能更加方便和高效。通过在主 ...

【留言有礼】佳节至,分享“粽”要记忆,传递温馨祝福,赢取精美礼品!

“五月的风,携着粽叶的清香,轻轻拂过江南的水乡,唤醒了沉睡的龙舟,也唤醒了人们心中那份对传统的敬仰。端午,这个古老而又鲜活的节日,如一叶扁舟,穿越千年的时空,载 ...

无刷电机用的单电阻采样的FOC,拿天线贴着电机线或者贴近采样芯片,电机就会停转

天线是LORA用的天线,用的一个LORA模块连到电脑上的。电机一开始能正常转,然后天线接近电机线,随着距离接近,电机会有异响,完全贴近,电机就会停转。同样天线贴近电机电 ...

DSP教学实验箱操作教程:4-12 多路信号混频实验(自定义输入信号)

一、实验目的了解数字信号混叠,实现信号的混频处理并使用FFT算法对混频信号进行处理并分析频谱。二、实验原理信号混频混频信号:两路信号进行相加,并对结果的幅度进 ...

飞凌嵌入式ElfBoard ELF 1板卡-如何移植FFmpeg

FFmpeg是一款专门用于处理数字音频和视频,支持录制、转换,并能将这些媒体内容转化为实时流数据的开源计算机程序。它遵循LGPL或GPL许可协议,为用户提供了涵盖音视频录制 ...

VS上位机开发串口应该注意什么,为何发送的数据单片机无回应

我用vs写了一个上位机,为了修改我的单片机的两个参数,现在遇到了一个问题就是,发送的命令格式对了,可是单片机没有回传应答数据,看不出来什么问题了,请教各位大牛们帮 ...

基于毫米波雷达的手势识别算法

具体的软硬件实现点击http://mcu-ai.com/MCU-AI技术网页_MCU-AI摘要基于毫米波的手势识别技术提供了良好的人机交互体验。先前的工作专注于近距离手势识别,但在范围扩展 ...

全志D1s软件入门之Tina Linux烧写教程

烧写 Tina Linux烧写,即将编译打包好的固件下载到设备烧写方式简介全志平台为开发者提供了多种多样的烧写方式和烧写工具:(1) PhoenixSuit:基于Windows的系统的烧 ...

corkia前天 13:41来源:全志科技521阅读量评论
IR2101S的HO输出和VS电平一样?没有方波输出是直流电?

H3 L3 分别为PWM输入信号  HO 端没有输出PWM   LO 端有输出PWM   VCC 也是12V供电

全志D1s软件入门之Tina Linux编译教程

编译 Tina Linux在搭建好编译环境并下载好源码后,即可对源码进行编译,编译打包好后,即可将打包好的固件烧写到设备中去。本文主要介绍编译和烧写的方法。Tina Linux 编 ...

corkia前天 09:50来源:全志科技501阅读量评论
整流桥并联电容后为何会炸?

新手小白一个。整流桥输入交流220v空载正常。并联电容C1后,一通电整流桥就炸了(此时没有接R2)。是什么原因?是否是没有接R2? ...

RK3568 Android11让系统ntp校准时间生效,需要设置些什么

代码修改了/frameworks/base/core/res/res/values/config.xml的参数(可见附件截图)10.2.126.57120000100035ntp设置时间操作如下(详细截图见附件):ntp服务器地址(co ...

飞凌嵌入式-硬件分享-常见的几种防反接电路

防反接电路在电路设计中还是挺重要的,因为你永远不知道在实际应用中会有用什么样的骚操作,把电源接反导致炸板。一个好的防反接电路,以增加了一点点元器件的代价,换来 ...

求助各位大神,这个是电源的什么电路

请教一下,是否有红框部分对应的芯片?不甚感激!

飞凌嵌入式-ELFBOARD硬件分享-常见的几种防反接电路

防反接电路在电路设计中还是挺重要的,因为你永远不知道在实际应用中会有用什么样的骚操作,把电源接反导致炸板。一个好的防反接电路,以增加了一点点元器件的代价,换来 ...

为什么LT1931电容接输出不接地就能软启动呢?

最近在搞前同事留下的板卡,其中负电源电路有损坏的概率,芯片使用的LT1931,输入12V,输出-14V,研究后估计是输出电容的问题,实际22μF就已足够,但是后端使用了多个100 ...

不懂真得问!FREERTOS在中断里面,可以调用有任务级临界段代码的函数吗?

如题,我在中断里是这段代码:if(exti_interrupt_flag_get(INT_EXTI_LINE) == SET){MCP2515_Receive();CanRecvFlag[2] = 1;exti_interrupt_flag_clear(INT_EXTI_LINE) ...

开源项目!可编程的磁悬浮桌面氛围灯(Arduino+WS2812)

作者: tomouwerkerkerk这是一款小巧温馨的悬浮桌面氛围灯,可变色的微光给房间增添了些许温柔的气息。它是基于 Arduino 和 3D 打印的组件构建而成,搭建起来也非常的 ...

【书籍评测活动NO.34】大语言模型应用指南:以ChatGPT为起点,从入门到精通的AI实践教程

2018 年,OpenAI 发布了首个大语言模型——GPT,这标志着大语言模型革命的开始。这场革命在 2022 年 11 月迎来了一个重要的时刻——OpenAI 发布了备受瞩目的ChatGPT。在接 ...

经验分享!板子上的TVS管为什么总是坏?

【现象描述】在一块电源板中,供电为 AC24V,需要满足 1.2/50--8/20 μs 浪涌混合波的 6 kV 测试。产品型式实验时分别进行了1kV、2kV、4kV、6kV的测试,测试均能通过。但 ...

基于FPGA的SPI Flash控制器的设计方案

摘要:传统的Flash读写是通过CPU软件编程实现,其读写速度较慢,且占用CPU资源,另外由于Flash芯片本身功能指令较多,使得对芯片进行直接操作变得非常困难。本文提出一个 ...

光电二极管放大电路输出问题

使用OPA657,跨阻放大光电二极管,在接入光电二极管无光照的情况下第一个运放的输入脚2脚会有个1v的电压,加上2.6khz光照之后会在原本1v电压这条线上产生最大2.8v的波形。 ...

keil 断点 执行次数设置后,出现非法变量的错误

keil 断点 执行次数设置后,出现非法变量的错误。希望大家帮帮忙。

求助:简单赋值错误

下面为简单的描述下问题:moudle a(....output [15:0] rd_data;inout [15:0] sdram_dq);assign rd_ack     = state == S_READ;assign rd_da ...

飞腾派4G是否支持安装SSD

新手一枚,请问各位大佬,飞腾派4G是否支持安装SSD。看了下有mini-PCIE接口。但网上说虽然mini-PCIE物理上接口跟mSATA一模一样,但由于协议不同,没办法直接安装。有什么方 ...

有关PL端利用AXI总线控制PS端DDR进行读写(从机wready信号一直不拉高)

5.31我在做PL控制PS DDR时遇到个问题,就是从机(DDR)的wready信号就不会拉高,只有你发出wvalid信号时才会拉高,(这是通过跑通例程抓取信号看见的)。然而awready信号是 ...

LDO电源模块如何快速设计布局

在现代电子设备遍布的时代,电源模块的设计与应用成为了电子工程领域中的核心议题。而LDO(低压差线性稳压器)电源模块,因其出色的线性特性和稳定性,在众多应用中备受青 ...

全志H616(BIGTREETECH CB1)和 博通BCM2711(树莓派4B)CPU对比测试

一,实物对比图:BIGTREETECH CB1的底板接口的分布和树莓派4B是一样的,但是没有树莓派的音频接口,底板也不能放到树莓派4B的官方外壳里,因为底板的背面有一个DSI接口, ...

社区推荐
发烧友活动

站长推荐 上一条/6下一条

华秋(原“华强聚丰”):
电子发烧友
华秋开发
华秋电路(原"华强PCB")
华秋商城(原"华强芯城")
华秋智造
My ElecFans
APP
网站地图
设计技术
行业应用
特色内容
专栏推荐
学院
设计资源
设计技术
电子百科
电子视频
元器件知识
工具箱
VIP会员
社区
小组
论坛
问答
评测试用
企业服务
产品
资料
文章
方案
企业
供应链服务
硬件开发
华秋电路
华秋商城
华秋智造
nextPCB
BOM配单
媒体服务
网站广告
在线研讨会
活动策划
新闻发布
新品发布
小测验
设计大赛
华秋
社交网络
微博
移动端
发烧友APP
硬声APP
WAP