看准网

电脑版
提示:原网页已由神马搜索转码, 内容由m.kanzhun.com提供.
面试:FPGA开发。面试体验平平,难度大概中等水平,应该是没过。
一面
  • 应届生第一次面试,开始问了些基础的数电方面的,时序逻辑组合逻辑区别,常用触发器,异步同步(我听成异步复位同步复位了,答错了)。
  • fifo解释,格雷码,空满判断,深度计算,问我写过没,遇到过哪些问题(我回的漏掉过打拍),问漏掉会怎么样?
  • 问了我开发版型号意义,做过哪些小实践(我做的都是些入门级的,最复杂的大概就是dds for fft 这些了,还都是用matlab加ip核做的)。
  • 接下来就是问项目方面的,uart接口协议,组帧上面的小细节,还有顶层模块怎么写的。第二个项目问的关于tcp方面。
  • 后面就是闲聊时间了,家庭情况,工作地点,期望薪资(感觉自己要太少了)。总的来说前面纯基础知识方面还可以。后面项目内容基本都能答出来。
  • 但是因为自己是本科应届,
  • 而且项目内容有点少,
  • 所以不是很有信心。他们能给我面试的机会,可能和我本科西电通信有关吧,和他们做wifi芯片关系挺大的。不知道最终结果如何
面试有难度
体验一般
看中专业技能

相关推荐

凤栖坞眼镜布婚恋咨询师
FPGA开发
未通过感觉没戏
 一、自我介绍和项目经验面试开始,首先面试官要求我简要介绍自己和我的项目经验。我向面试官展示了我的技能和项目经历,特别强调了我在数字电路设计和 FPGA 开发方面的经验。二、数字电路问题面试官问我解释D触发器和 JK 触发器的区别。我简洁地解释了两者的工作原理和时序特性。面试官询问了我一个组合逻辑电路的设计问题:实现一个2-4译码器。我用 Verilog 代码给出了一个简单但完整的实现。三、FPGA 相关问题面试官问我 FPGA 是什么以及 FPGA 的优势是什么。我解释了 FPGA 的定义和它与 ASIC 的区别,并列举了 FPGA 的灵活性、可编程性和快速上市等优势。面试官询问 FPGA 内部资源的组成,以及 LUT 是如何工作的。我解释了 FPGA 由可编程逻辑块(CLB)、I/O块、时钟管理器和全局资源组成,并简要描述了 LUT 的查找表功能。四、FPGA 设计问题面试官给了我一个 Verilog 模块的代码,并要求我检查其中的潜在问题。我仔细阅读了代码,并指出了一个可能导致时序问题的地方,并提供了一些建议来改进代码。面试官让我描述一下 FPGA 的时序约束和如何设置它们。我解释了时序约束的重要性,以及如何使用约束文件来确保设计在时钟频率和时序要求下正常工作。五、开放性问题面试官问我在项目中遇到的最具挑战性的问题是什么,以及我是如何解决的。我向面试官详细描述了一个复杂的时序问题,并介绍了我通过优化代码和时序约束等方法成功解决的经历。面试官询问我在设计中遇到的性能瓶颈和优化策略。我提到了一个需要改进性能的模块,并说明了我通过并行化和优化算法等方式来提高设计性能的过程。...查看更多
2023-08-01发布

进入微信小程序

前往微信小程序,查看更多结果

立即前往
正在招聘数字ic验证工程师等 3个岗位